Tuesday 23 February 2021

Pushing the single-exposure patterning capability of 0.33NA EUVL to its extreme limits

This week, at the 2021 SPIE Advanced Lithography Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and ASML, the world's leading manufacturer of semiconductor lithography equipment, present several papers that demonstrate the ultimate single-exposure patterning capability of today's 0.33NA NXE:3400 extreme ultraviolet lithography (EUVL). Process optimizations have enabled the patterning of dense 28nm pitch line/spaces with an Inpria metal-oxide resist in one single exposure, relevant for high-volume manufacturing. For the first time, optical and e-beam inspections were correlated with electrical data to gain further insights in improving stochastic defectivity—i.e., both breaks and bridges. In addition, source optimizations have led to printing the smallest pitch possible with the current NXE:3400 scanner (i.e., 24nm pitch line/spaces and 28nm pitch contact holes), allowing for early material development required for high-NA EUV lithography scanners.

source https://techxplore.com/news/2021-02-single-exposure-patterning-capability-033na-euvl.html